VHDL import error message

Discussion in 'Cadence' started by Rene, Aug 12, 2011.

  1. Rene

    Rene Guest

    Hello!

    I try to import a VHDL-file an I get an error message:

    *** glibc detected *** /usr/cadence/ic5141/tools/dfII/bin/vhdlin.exe:
    double free or corruption (!prev): 0x09c1a8e0 ***

    It's the same on CentOS (RedHat) or Ubuntu machines.
    But confusing is that my colleagues with the same import-file don't have
    any problems on the same computers. I don't know where to look.

    Any ideas?
    Thanks for your help in advance.

    Best regards
    René

    Here ist the contents of the input-file (if necessary):
     
    Rene, Aug 12, 2011
    #1
Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments (here). After that, you can post your question and our members will help you out.