Verilog-AMS

Discussion in 'Cadence' started by Samiran, Apr 6, 2010.

  1. Samiran

    Samiran Guest

    Hi,

    I want to set up Verilog-AMS simulation environment in cadence-
    spectre. Please help me to get this done by suggesting some set up
    guidelines and license requirement.

    Thanks & regards
    Samiran
     
    Samiran, Apr 6, 2010
    #1
  2. Samiran

    Samiran Guest

    Hi,

    I have setup verilog-ams simulation env. Now I have written a simple
    capacitor model as follows:

    ---------------------------------------------------------------------------------------------------------------------------------------------
    //Verilog-AMS HDL for "SAMIRAN", "testams" "verilogams"

    `include "constants.vams"
    `include "disciplines.vams"

    module testams ( );
    real q=1.609E-19;
    real L=300E-9;
    inout p,n;
    electrical p,n;
    analog begin
    I(p,n) <+ (9.654E-17) * ddt(V(p,n));
    end
    endmodule
    ---------------------------------------------------------------------------------------------------------------------------------------------

    But when I saved the file it returned an error saying:

    "Cannot find ncvlog executable from your path. Please update your path
    to point to the correct executable or use vmsNcvlogExecutable variable
    to specify the executable to use."

    Please help me on this.

    Thanks & regards
    Samiran
     
    Samiran, Apr 6, 2010
    #2
  3. Samiran

    Riad KACED Guest

    Hi,

    Please ensure IUS is in your UNIX path variable.
    The IUS stream holds the nc-executables.
    Does this make any sens to you ?

    Regards,
    Riad.
     
    Riad KACED, Apr 7, 2010
    #3
  4. Samiran

    Debjit Guest

    Hi Samiran,

    You must have IUS (latest is IUS82) stream of Cadence Distribution
    installed in your system. Then ensure the following paths are added in
    your environment. Assuming bash shell, the paths should be in your
    bashrc as follows:


    export PATH="$IUS_INST_DIR/tools/bin:$PATH"
    export PATH="$IUS_INST_DIR/tools/dfII/bin:$PATH"
    export PATH="$IUS_INST_DIR/tools/simvision/bin:$PATH"


    Hope this will solve your problem.

    Thanks and Regards !!!!

    Cheers !!!

    Debjit.
     
    Debjit, Apr 7, 2010
    #4
  5. Samiran

    Samiran Guest

    In my .bashrc file following lines are already included:

    ---------------------------------------------------------------------------------------------------------------------------------------------
    export AMSHOME=/usr/local/cadence/IUS81ISR_lnx86
    export CDSHOME=/usr/local/cadence/IC5141USR6_lnx86
    export LD_LIBRARY_PATH=$LD_LIBRARY_PATH:$AMSHOME/tools/lib:$CDSHOME/
    tools/lib
    export PATH=$PATH:$AMSHOME/tools/bin:$AMSHOME/tools/dfII/bin:$AMSHOME/
    tools/simvision/bin
    ---------------------------------------------------------------------------------------------------------------------------------------------

    are these any different from the export statement mentioned in the
    above mail. Please let me know.

    Regards
    Samiran
     
    Samiran, Apr 7, 2010
    #5
  6. Samiran

    Samiran Guest

    I guess I have to set the environment in .cshrc file. Because I start
    icfb by executing " tcsh >> source .cshrc >> icfb " command. But I am
    not sure what exactly the syntax should be for cshrc. Please guide me.

    Thanks & regards
    Samiran.
     
    Samiran, Apr 7, 2010
    #6
  7. Samiran

    Samiran Guest

    I tried to update .cshrc file by including the following command
    lines:

    ---------------------------------------------------------------------------------------------------------------------------------------------
    setenv AMSHOME /usr/local/cadence/IUS81ISR_lnx86
    set path=($path /usr/local/cadence/IUS81ISR_lnx86/tools/bin)
    set path=($path /usr/local/cadence/IUS81ISR_lnx86/tools/dfII/bin)
    set path=($path /usr/local/cadence/IUS81ISR_lnx86/tools/simvision/bin)
    ---------------------------------------------------------------------------------------------------------------------------------------------

    After this there is no error that was popping out earlier. But I got
    another error saying: VAMS *E, EDPS: Parsing of verilog-ams file
    failed.

    The exact error stack I got:

    ----------------------------------------------------------------------------------------------------------------------------------------------
    ncvlog: 08.10-s005: (c) Copyright 1995-2008 Cadence Design Systems,
    Inc.

    ncvlog: *W,DLCPTH (./cds.lib,11): cds.lib Invalid path '/usr/local/
    cadence/IUS81ISR_lnx86/tools/dfII/etc/cdslib/artist/
    analogLib' (cds.lib command ignored).
    DEFINE basic $CDS_INST_DIR/tools/dfII/etc/cdslib/basic
    |
    ncvlog: *W,DLCPTH (./cds.lib,12): cds.lib Invalid path '/usr/local/
    cadence/IUS81ISR_lnx86/tools/dfII/etc/cdslib/basic' (cds.lib command
    ignored).
    DEFINE cdsDefTechLib $CDS_INST_DIR/tools/dfII/etc/cdsDefTechLib
    |
    ncvlog: *W,DLCPTH (./cds.lib,13): cds.lib Invalid path '/usr/local/
    cadence/IUS81ISR_lnx86/tools/dfII/etc/cdsDefTechLib' (cds.lib command
    ignored).
    DEFINE avTech /eda/cadence/assura_5141-317-018/lnx86/tools/assura/etc/
    avtech/avTech
    |
    ncvlog: *W,DLCPTH (./cds.lib,15): cds.lib Invalid path '/eda/cadence/
    assura_5141-317-018/lnx86/tools/assura/etc/avtech/avTech' (cds.lib
    command ignored).
    `include "vsrc.vams"
    |
    ncvlog: *E,COFILX (/home/sdam/SAMIRAN/smpl_ckt/verilogams/verilog.vams,
    3|19): cannot open include file 'vsrc.vams'.
    `include "resistor.vams"
    |
    ncvlog: *E,COFILX (/home/sdam/SAMIRAN/smpl_ckt/verilogams/verilog.vams,
    5|23): cannot open include file 'resistor.vams'.
    ground gnd;
    |
    ncvlog: *E,ILLGND (/home/sdam/SAMIRAN_PLL/smpl_ckt/verilogams/
    verilog.vams,9|10): illegal ground node 'gnd' [3.4.4(AMSLRM)].
    ----------------------------------------------------------------------------------------------------------------------------------------------

    The file I have tried to compile:

    ----------------------------------------------
    `include "disciplines.vams"

    module resistor (p,n);
    parameter real r=0;
    inout p,n;
    analog
    V(p,n) <+ r*I(p,n)
    endmodule
    ----------------------------------------------
     
    Samiran, Apr 7, 2010
    #7
  8. Samiran wrote, on 04/07/10 08:29:
    This doesn't quite add up. I'm assuming that the file
    /home/sdam/SAMIRAN/smpl_ckt/verilogams/verilog.vams actually contains include
    lines for vsrc.vams and resistor.vams and ground. Please show the contents of
    that file - it's hard to see why it would be complaining about those include
    files unless they actually exist in the source!

    By the way, you should be able to get rid of the *W,DLCPTH warnings by fixing
    your cds.lib. You can either change the $CDS_INST_DIR references in your cds.lib
    to $CDSHOME (since you have that env var defined) or use things like:

    analogLib
    $(inst_root_with:tools/dfII/bin/icfb)/tools/dfII/etc/cdslib/artist/analogLib


    Regards,

    Andrew.
     
    Andrew Beckett, Apr 7, 2010
    #8
Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments (here). After that, you can post your question and our members will help you out.