SystemVerilog DPI in NCSim?

Discussion in 'Cadence' started by Davy, Dec 12, 2006.

  1. Davy

    Davy Guest

    Hi all,

    Because it will take some time waiting download IUS583, I tried to use
    SystemVerilog DPI (mostly imported functions). I heard that Synopsys
    can simply include C file in file list with SystemVerilog file and run
    simulation.

    But Cadence NC seems need C code compile and link to a lib. I have read
    the NCsim document and find a switch "-sv_lib". But I cannot find a
    simple example. Is there any step by step tutorial about how to
    compile, link and run SystemVerilog DPI C file in NCsim?

    Best regards,
    Davy
     
    Davy, Dec 12, 2006
    #1
Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments (here). After that, you can post your question and our members will help you out.