signalscan view(modelsim) - content of memory array

Discussion in 'Cadence' started by pradeep, Jul 25, 2003.

  1. pradeep

    pradeep Guest

    hi,

    in my coding i have used memory declaration

    reg [3:0] myram[15:0],

    during simulation(ncsim, signalscan waveform) i am not able to add
    this memory to the waveform viewer,

    is there any other way to see the content of the memory

    i also face the same problem with modelsim

    with regards
    G.Pradeep.
     
    pradeep, Jul 25, 2003
    #1
Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments (here). After that, you can post your question and our members will help you out.