New book: SystemVerilog Assertions Handbook

Discussion in 'Cadence' started by vhdlcohen, Dec 1, 2004.

  1. vhdlcohen

    vhdlcohen Guest

    I am pleased to announce the release of our new book
    SystemVerilog Assertions Handbook which addresses SVA Assertion-Based
    Verification language along with pragmatic applications and guidelines
    in the use of SystemVerilog Assertions.

    For more information on the book, please read the preface / backcover
    at
    http://www.abv-sva.org/

    Ben Cohen
    _________________
    Ben Cohen Trainer, Consultant, Publisher (310) 721-4830
    http://www.vhdlcohen.com/
    * Co-Author: Now available: "SystemVerilog Assertions Handbook", ISBN
    0-9705394-7-9
    * Co-Author: Using PSL/SUGAR for Formal and Dynamic Verification 2nd
    Edition
    * Real Chip Design and Verification Using Verilog and VHDL, 2002 isbn
    0-9705394-2-8
    * Component Design by Example ", 2001 isbn 0-9705394-0-1
    * VHDL Coding Styles and Methodologies, 2nd Edition, 1999 isbn
    0-7923-8474-1
    * VHDL Answers to Frequently Asked Questions, 2nd Edition, isbn
    0-7923-8115
     
    vhdlcohen, Dec 1, 2004
    #1
Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments (here). After that, you can post your question and our members will help you out.