How to use NCVHDL to dump fsdb file?

Discussion in 'Cadence' started by b108463, Apr 4, 2006.

  1. b108463

    b108463 Guest

    Dear all,
    Has anyone use NCVHDL to dump fsdb file?
    I have tried many times, but it doen't work.

    My procedure as below,

    1. At WINNT environment, I set LD_LIBRARY_PATH=C:\Novas\Debussy53v6
    \share\PLI\nc_vhdl\WINNT

    2.At my run.f, I add novas.vhd which is offered from NOVAS

    3.At my test pattern, I adds several vhdl codes as below
    use work.novas.all
    ---
    ---
    ---
    process
    begin
    fsdbDumpfile("./simdata.fsdb");
    fsdbDumpvars(0, "test_pattern");
    wait;
    end process;

    Is my procedure correct?
    Anyone could help me? I have tried it several days.
    I will appreciate your any suggestion.


    BR,
    Eddie
     
    b108463, Apr 4, 2006
    #1
Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments (here). After that, you can post your question and our members will help you out.