Encounter SEGV internal error at ExtractRC command

Discussion in 'Cadence' started by Divya, Jun 10, 2015.

  1. Divya

    Divya

    Joined:
    Jun 10, 2015
    Messages:
    3
    Likes Received:
    0
    Hello,

    I am trying to debug an encounter termination issue SEGV Internal error at extractRC command. I have attached part of the log at the end of this message. Please let me know if you have any inputs on how to debug this

    My Debug/Analysis:
    1) I came accross this a couple of times when I performed extractRC with other tech files -and what I noticed was that it was a grid issue, interconnect widths had to be even numbers at the 4th digit after decimal point. Once I fixed this the SEGV error went away. This is not the case with the current TECH/ICT files so that shouldn't be the issue
    2)This placed and routed design works fine with other Tech files -when i load a different tech file into the "saveDesign" image and extract timing.So I am concluding that it has something to do with this particular ICT/TECH file of the buggy run
    3)I also read one the EDABoard forum that people just rerun this a couple of times and it works once in a while - this might be a behavior due to the OS? .
    LOGFILE:
    Extraction called for design 'des' of instances=36916 and nets=38779 using extraction engine 'postRoute' at effort level 'high' .
    **WARN: (ENCEXT-3530): Use of command 'setDesignMode -process <process_node>' prior to extraction is recommended for maximum accuracy and optimal automatic threshold setting.
    Integrated QRC (IQRC) Extraction called for design 'des'.
    No IQRC parasitic data in encounter. Going for fullchip extraction.
    Encounter terminated by internal (SEGV) error/signal...
    *** Stack trace:
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter(syStackTrace+0x145)[0xf3b11fd]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter[0x8f118d7]
    [0xf7732410]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter(_ZN11Cce_Session10InitializeEv+0x55d)[0x1110a79d]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter(_Z16qxCCEGetHaloSizePcS_iPd+0x15c)[0xbc7ae9c]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter[0xbc5ae84]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter[0xbc5d93f]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter[0xbc5f7bb]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter(_Z14dcRCExtractionPc+0x551)[0xbdad891]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter(_Z17peRCExtractionCmdPvP10Tcl_InterpiPPc+0x770)[0xbcd9540]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter(_ZN10tcmBaseCmd7executeEP10Tcl_InterpiPPc+0xfd)[0x103ce78d]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter(_ZN6tcmMgr9cmdParserEPvP10Tcl_InterpiPPc+0xae)[0x103c75ae]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter(TclInvokeStringCommand+0x4f)[0x10a71e2f]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter(TclEvalObjvInternal+0x126)[0x10a72e46]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter[0x10a992ee]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter(TclCompEvalObj+0xa3)[0x10a98853]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter(Tcl_EvalObjEx+0x206)[0x10a74176]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter(Tcl_RecordAndEvalObj+0xb4)[0x10aa6804]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter(Tcl_RecordAndEval+0x4d)[0x10aa66cd]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter(_Z17rdaEditCmdLineEndPc+0x298)[0x8e98598]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter(_Z9elEndLinev+0x11b)[0xd2447bb]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter(_Z21el_callback_read_charv+0x273)[0xd248b23]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter[0x10ae2922]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter(Tcl_ServiceEvent+0x99)[0x10ac02e9]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter(Tcl_DoOneEvent+0x136)[0x10ac0686]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter(Tcl_AppInit+0x39c)[0x8e96f5c]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter(Tcl_Main+0x1f4)[0x10abb814]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter(_Z7fe_mainiPPc+0x393)[0x8e96893]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter(main+0x5f)[0x8e9691f]
    /lib/libc.so.6(__libc_start_main+0xe6)[0x194d36]
    /tools/cadence/edi101/tools.lnx86/fe/bin/32bit/encounter[0x8e96341]
     
    Divya, Jun 10, 2015
    #1
  2. Divya

    Divya

    Joined:
    Jun 10, 2015
    Messages:
    3
    Likes Received:
    0
    actually debugging this further, I noticed this isnt at the exractRC command this error occurs at optDesign -postRoute. this command works fine with other engines like preroute.
     
    Divya, Jun 10, 2015
    #2
Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments (here). After that, you can post your question and our members will help you out.